Part Number Hot Search : 
HCS244T PSKH310 14D102K 05351 ITSX95 L3103S 89C51 CRY68
Product Description
Full Text Search
 

To Download Z86C91 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  

     !"#$ %&' ()* +',,  -.+',,  ,
,/ 
    
 
 
 
  (*
)0

 
01)/ 02

 ,(/
** 

 .
")
3)0

 " +        #/)0"#$ %&' ()* +',, -.+',, ,
,/

4
/53
6 ,
*7
 
* 
,$* ) 89
 // 
 *
/20/53*/)

**
* *2
,      :02
"6 ,$
4*9,6 3/
 
*
)0

  
4*9
" ))

 "* 42
0

 44)
0 /20),
"6;#,< =; ($7>= 6$?6 6(@-   a6<=$===;($(6 ; - $##7$#@ -(=6;- >$(6 ;"<=a6#=" (=#; @<=#6?=<6;(6 < #7>=;(,
$  < =; ($7>= 6$?6 6(@- 6;(= =#(7$  =(@ 6;-6; =>=;(= $(=<6;$;@>$;;=( 7= -6;- >$(6 ;"<=a6#="  (=#; @<=#6?=<==6;  (=6=,=.)
**.)
 ))9
"3
3/
 "9
"* 42

/)  3
3))2/
 *
b,;
 *
4* 92"
/)

2*
"02*
/    2
))2
4*,
 
 ?
 
     


 

    
   
 !   "    !      !
 !  # !
!$% 
  # & 
 
 
   ' (!)* ++$ %  ' ,
,-!,  ! '      '# & 
 
 
  '# & 
 
 
  '  .% %   " 
,
%/0!
+ ! " 
1+(

 !  " ! +/0!
+ !  " ! +1+(

 !  " !+
,( .!
+  
!,   0  !  !+
/0!
+ !  
!, /0!
+ !   $
/0!
+ !  
!(+
 !!
%% !  
 
 ?
 
       %
   !2! . %
+ '  %
 " / !0 %
 ! "  %
' "" 3 !0 %
 !    %
" "" 4!0 %
 !    %
 !
!0 %
 !    %
 !
!0 %
 !    %
# !
!0 %
 !  '  %
 !
'!0 %
 !  "  %
 -
+ ,51!
67    %
 -
+ ,58  
67    %
 $  ,51!
67   %
 $  ,58  
67   %
' 
!%
+)+!
6)    %
" )+!
6)    %
 $% 
    %
 $% 
! 
$% 
    %
# $% 
! 
9    %
 !
:- +
2! . %
+  '  %
 /
 2! . %
+   %
  !
!0 %
 !    %
 
/:$% 
5 ;$,:8
7  #  %
 - +
)!,$% 
5 ;$,:8
7   %
' !
- +
$% 
5 ;$,:8
7    %
" 
 
$% 
5' ;8
67    %
 !
:- +
$% 
5" ;$,:8
7   %
 
 
$% 
5 ;8
67  '  %
# !
)!,$% 
5 ;8
67  '  %
 !
')!,$% 
5# ;8
67  '  %
 !
,)!,$% 
5 ;8
67  '  %
' /
 
!
6$% 
5 ;8
67 ''  %
' /
 $<$% 
5 ;$,:8
7 ''  %
' /
 ).$% 
52 ;$,:8
7  '"  %
'' %$% 
5 ;$,:8
7  '"  %
'" $% 
! 
$% 
5 ;$,:8
7 '
 
 ?
 
     %
'  .! 
$% 
5& ;$,:8
7 '  %
'  .! 
$% 
5 ;$,:8
7  '  %
'# -4!, %
+  '#  %
' &*
/:!
)+!
6$,,8
- + % '  %
' ,,  !- + % "  %
" / =, .- + %  "'  %
"  =, .- + % ""  %
" " / .% %
+  "  %
"' "" 4 .% %
+  "  %
"" "" 3 .% %
+ "#
 
 ?
 
      -( " / /, 0  !  " -( "" 3 /, 0  !   -(' "" 4!0 %
 !   -(" !
'   %+  -( (!)* ++$ %  ' -( & 
 
 
 ,
,,&*
-+ 

  '# -(# &*
/:!
)+!
6$,:8
- + %9,
,:&*,,-+ 
 
  " -( ! . ,!
+  " -( ,,  !- + %5,
,,&*,,-+ 

7  " -( =, .- + %5,
,,&*,,-+ 

7  "" -( 
,
%/0!
+ ! "
 
 ?
 
  
 

    
    4>?
% ? 0+ 6!0( $)+
! !
!
  , 
!,    '(6!0$ )& !0 , !00
0*  !@00 !0 ++!
6@!  , 
 @  :! ( +  !  (   @,6 
,
:!0
6+*  !!%  ! !,! !
 !+  ! !
   !,+, % !
0/:  (   @ !00
" ,,  ,!  ,! -  
%
! , !0!
 !
&  !
 ! !0  %  ,  !0 %
(,
!0
 !
!!
! , + %@ %@ 
!
 
/:  !
  ! , .,,,
:,(0!
 
0 % *
++!
6- )a0

( ,,
  ! !
   ,
%!0 !0 %
 !;
!%
+)+!
6@)+!
6@,'>

! $% 
 - ! 
)=b  !%
%!0"!c -!(
, 6+0
!+ ! %  
 +.  ! %: + %,, !++  !@ !00
!!  !
: +
  
%+(
!0 
 (+!,,0, * 
,
a $- -  $) 
,!00
 !0*
++!
6@ (   ? )a!(,  % !+   !@!
 
 !,0* (  6 
<
,  %  !
  
  4!!
*+ @2:8 @0!
 8$   4!@,2 :8@0!
 2d-&   4! !
 !  !0!!  ! !,
 !; 
    6
!!
  
:
+ 
a $-  " /,"" 4,3 .%  "!c! 
 %$%   
 %-+ 

$%;  ,
,;e!#e  &*,,;"e!e  "/ : 4       !
c && c '' >
!, >1 c 66  !
 
 ?
 
  
 

  "   *c !
,@
!
 b,/
 0
!+& %  00
!
  -!
!%
++(2 !
:- +
@   !2 
!%
++( 
 
    !
   
6@
+ $!!
@4@!
&*
! .  -!,(6)!,;-,= 4-  !4 
 
 ?
 
  
 

  # 
$%
&' &
( 

$%
&' port 3 counter/ timers (2) interrupt control output input alu flag register pointer register file machine timing & instruction control reset program counter vcc gnd xtal address or i/o (nibble programmable) port 2 port 0 port 1 as ds r/w reset 44 8 address/data i/o (bit programmable) uart
 
 ?
 
    
 ! ) *
 + &
"( ),-*
.*
*
/& 01
"( ),-*
.*
*
.2 / *
3 4'1    c && !
 6 /   f- 4 
6@ !
! .   ' f- 4 
6@ !
! . /  " '# !
'@ #    ' !
'@  /  $&&- $ /  #$:8 $,:8
    
!(     ,,

!(    ' !
'@    c && f- 4 f- 4 '# ' $&&- $:8   ' >1 '    ' "   # ' ' #   " '    '' '" #   " '      ' "   #      ' "   #    " ' ' '# ' ' '" '' ' ' '   #   " '  
 
 ?
 
    
 ! 5  >1 >
!,@c 66    ' !
'@  /  ' # !
@ # / :   # !
'@ # / :   '" !
'@ "   ' '' !
'@ ' /  '' # !
@ # / :  ' ' !
'@  /  " ' !
'@    01
"( ),-*
.*
*
.2 /
6 27 *
3 4'1   
 
 ?
 
    
 !  &
#( ))-*
*8*
*
/& 01
#( ))-*
*8*
*
.2 / *
3 4'1     '# !
@2 '# / :   >1 >
!,   #" # !
@2 # / :   '" !
'@2 "    '' !
'@2 ' /  # " !
@2 " / :   >1 >
!,   ' # !
@2 # / :   ' !
'@2  /  # ' !
'@2     >1 >
!,   '" ' ' '# ' ' " " " "' "" $&&- $:8   ' >1 '    >1 >1 " '    '' '" #   ' "   # >1    ' " ' '# f- 4 f- 4 c & & >1 ' ' #    ' ''       #   " '  ' ' '   #   " '"#
 
 ?
 
    
 ! 9  c && !
 6 /  ' f- 4 
6@ !
! .   ' f- 4 
6@ !
! . /  ' '# !
'@2 #   '' ' !
'@2  /  '" $&&- $ /  ' $:8 $,:8
   '  
!(   '#  ,,

!(   ' ' !
'@2    ' >1 >
!,   " ' !
'@2  /  ""'  !
@2  / :  "" >1 >
!,   01
#( ))-*
*8*
*
.2 /
6 27 *
3 4'1   
 
 ?
 
    
 !  &
)( ))-*
* 
/& 01
)( ))-*
* 
/&
*
3 4'1    c && !
 6 /   f- 4 
6@ !
! .   ' f- 4 
6@ !
! . /  " '# !
'@ #    ' !
'@  /   1: 1!! , # $&&- $ /  $:8 $,:8
    
!(     ,,

!(    ' !
'@     >1 >
!,c 66    c  %     '  & c ==( 8 < $  ;<     ;< ;8# '      ' c &   '  & c     ' ;8# ;8#  c d($  d($  a & & &  c &  '''''  %'&c ' %  c &  '     % &'
 
 ?
 
    
 !  ' ' !
'@  /  "  !
@  / :  # >1 >
!,    '# !
@ '# / :  '# " !
@ " / :   1: 1!! , ' # !
@ # / :  ' '" !
'@ "   '' '' !
'@ ' /  '"' " !
@ " / :  ' 1: 1!! , "" # !
@ # / :  "' ' !
'@  /  "" ' !
'@    01
)( ))-*
* 
/&
6 27 *
3 4'1   
 
 ?
 
      ! , *
 - 0!! % 
%
 ,
( 0  !!0  (  
6+:

7(
- 
!(   ,! +0!
 *
++!
6 
0
!
$& ! 
 !@,+( (
!
! 
  %,%!0  !
8$/-&! 
 !@ 0 %,%!0  ,  ! ,  , 
6+:

7(
-  ,,

!(  ,! + (%  %!0  +  6 0!
*
++!
6
0
 ,,
!  
!% !
0!
*
 
!%
+)+!
6,,

0

 , 
  %,%!0  a,

! %
+ !
!@     ,   %  + , !%  !
,@ 
!(@,$& :8$/-& ;0 
64
70' -$ 2

.+(
-   !  

!
6 @ 
+ 
!!
@4!
.@!
*
 %  ! .! ! !  !
,(00
 ;0 "
64
"7
0' -$ 2

+(
-   !  

!
6@ 
+ 
!@4!
.! ! ! !
,(00
 <=
6+:
= .0>
7(
- $& :8$/-& % 4!  
! *
,++!
6 > >0
6+:
7(
-!! ,6
!!,! 6

!(+@  < ,   
0 
!00!
*
f- 4 ! .5"- 7/0 *
$&&-  %   "-  ,
 !@
,!!! 
  0 0  ! .0
$&&-  , ,@ 
$- %  ,, ,0!
  

% 
 !!0*
 ! .@!
0!
 ,
 !!0 *
$&&- @  
 !%

% 
 6 @   ,  4!    6 
!0- :8 $&&-  ,  ,@
!%
+*  !(% !  ! 000c  !
a 
 ++( , 4!0!
+@!
 c &&  (@ 
  !%
 *
,
6*,,*,97(
!
 ( @ ((
!%
++(@( ,
  !@--4 !+  ( !
-  % /: 
 !0 %
,,
!0
 !
! ((/: !
 5'g  :! ,#g"  :! 7@!
,,
 !
0!
 
0 % *
++!
68 ,/: !
@!
+6(  ,,
 , . ! 
!/  !0 %
 !@!
'@ ','
,  , . !
!  c ,$d=, . %,
  ! , ,(6 /:,
  !5  !
 ! 7!0!
!0  
 (("g#- !
 ((+ ,  + ,
  !  
 (( !
*
++!
6
0
 @!

! ,,,
(  #g 5!
 ((7, !

! ,,,
(  g 5 
 ((7, , %! 
<
,,,
   /0 ,,

%
<
( !
@  
 ((!0!
 
! %
++, , ,6/:  !
 (( ,0!
,,
 %/0!!

 
 ?
 
      !  (!  ((

<
,0!
/:! 
 !@ 6
 !0 %
,(6
 %! !
 +!,
% 
5)7 0
 
,
$&&-@!
  !0 %
,,,
  g @,*,, + % ! !++!,!++!
6 -     b !
!    ,
 !0 %
 !! +  *,, + %+!, !
 (  ,  %  + , !%  !
 @  @ ,$:8 @! % ! 
 !++!
!
 +
! !
,)    ! 5 %
 7  
,
$&&- 
<
,!*   %  + ,  &
5( *
,
/& 3ruw ,2 0&8 2(1 3dg 2xw ,q   2swlrqdo +dqgvkdnh&rqwurov '$9dqg5'< 3dqg3 77//hyho6kliwhu $xwr/dwfk 5$ssur[.
 
 ?
 
      ! " *

6*9*,7(
!
 ( @--4 !+  ( !
5 %
 7@  + *, ,,
5 #g 7,5#g7 !
0!
 
0 %*
++!
6/0+!
  *
!  !

<
,@!
!  ,,  !  !
 (  ,   %  + , !%  !
@  @ @,$:8 @ ! % ! 
 !++!
!
 +
! !
,)    ! 5 %
 7  
,
$&&- 
<
,!*   %  + ,  &
( *

/& 3ruw ,2ru$'$' 0&8 2(1 3dg 2xw ,q +dqgvkdnh&rqwurov '$9dqg5'< 3dqg3  77//hyho6kliwhu $xwr/dwfk $
!*h
 
 ?
 
      ! # *
"
6*"9*",7(
!
 ( 
!%
++(@( ,
  !@--4 !+  (/: !
 -  % /: 
 !0 %
,,
!0
 !
!  !
! @ ,  ,6!
%!(6! ,
 ! !
 6 (0!
/:! 
  !8 ,/: !
@!
   ,,
 , . !
!/  !0 %
 !@!
' ','
,  , . !
!  c , $d-  , . % %+0!
!
' ',' , ,(6  ,
  !5  !
! 7 %,!#5 %
 #7 0
$&&-@!
  !0 %
,    !
- !
!  !
 !!0 
  ! ,
  ?,0 ! 0 %
 ! &
9( *
"
/& 3ruw ,2 0&8 2shq'udlq 2(1 3dg 2xw ,q +dqgvkdnh&rqwurov '$9dqg5'< 3dqg3 77//hyho6kliwhu $xwr/dwfk 5$ssur[.
 
 ?
 
      ! ) *
#
6*#9*#,7(
!
' ( @--4 !+  ( !
@  0!
0 *,  5''g '7,0!
0 *,! 5'"g'#7!
'  !0 %
,,
!0
 !
!0!
 / : @!
:- +
@ 
 @a $-@ !
 , .@,,)+!
60   !!
'@ ,
/:

!%
++,
 ,
!
    65 %
 7 &
( *
#
/& !
 
 0  !@!
'  
0 %,% 
    !!
: - +
 +,
!% '5- ,1 7,'5- 287 7=, . 0!
!
@@, 
 (!'
!% ' !
'!
! , 0!! % !
!0  !; , .0!
!
@@,5 c  ,$d7i0!
*
 
 
< %5/$3'g/$37i +
  ,!   %5- ,1 ,- 287 7i)+!
6  '"!  !0

!%
++,!0  !)+!
6 5) 7- !
 ')!,$% 
5')7( '@"  0  !8   %*
, ++!
6@'"%!  4!i   %*

!%
+++!
6@'"%!= %  !(!
,a $- (,(6!0
 %( !0 !
')!,$% 
 ')8 (,@'  
    ,'#  
+ !  !
'@ ','#

!%
++,
/:0!
0, *
6
!!
  
:
+ 
! 
 !- ( 
  !
!,(6!
:- +
 - !+ 6,,
( ,!! ( !
+ ,,
0!
 +
 !  %
 , %
 ,, 
6 ! ((6 %( #   ')
% 
& % ,( 
6
+ ,@
%
,!0 
6  !/0 
6 (,@  %  (   !,, 
6(   
 
<5/$3"7 %
 ,!
+ , 
 
 e68 f >#7 e68  *5f
 
 ?
 
      ! 5 $  ,,+ 
( @ % ,( ,!! ( /0 
6 !@ ( #!0 
  ,, 
  ,(6 
6
!
0%$  , 
 
%
  /$3' 
 
< &
( 0' 2

6 
*47 &
,( 0' 2

6=
*47 &
(   2

6 
*47 &
"(   2

6=
*47 sp sp d7 d6 d5 d4 d3 d2 d1 d0 st start bit eight data bits two stop bits sp sp p d6 d5 d4 d3 d2 d1 d0 st start bit seven data bits odd parity two stop bits sp d7 d6 d5 d4 d3 d2 d1 d0 st start bit eight data bits one stop bit sp p d6 d5 d4 d3 d2 d1 d0 st start bit seven data bits one stop bit parity error flag
 
 ?
 
      !  (
- !    ,)!   
!*
6 ,
8  
  !
 !(,
+ ,  ,)@
 
  0! %!,@
, *   6 
0!    (00
 ! 
  (!!
@!
@!
@,'   > >0
6+:
7(
/   b )a$&&-! 

!% *

!6
 %!
$@ *
6%
,
,
 $&&-  4!0!
  $ +  
! ,, 
6 $&&- , ,!! !
! 
 !! 0
 !,  ! $&&-   + 
%%
,  
% $&&- 6 @   ,  4!     6 
!0- 3  j 
!%
+*  !(% !  ! 000ch @0
  $&&-  
, 8 
!%
+*  !(% @  , !%%!60!
*
++!
6  -  !6* ! )!,(6 % $&&-  - ,!

% 
 !! )!,$ !
6! 
 !!!0-+!, 01
5( *
#
*
&' 
* .< 
0'  . + *,
? *"
? >@ a 0 ' /1 /$3' 
/ ' /1 - ,1 /$3 :$ ' /1 /$3 :$ '' /1 /$3 '" a- ) ' a- $: ' a- - 287 $: '# a- 
 1!;  
        !
 
 ?
 
     !
 ! 9 
 + - )a  !
!
 0!! %0  !    ,
, ? 
  
,
! , 
   
,, %0* (  6;  $  
!%
+)+!
6  )+!
6  8!
. %$% 
  >

!$% 
   .! 
 !
:- +
  /
   ! .  = 4-,-)!,  !
!0 %
 !$% 
> >0(
- ,  
  0!! % !,  !;  &*
$ !+ !
$
 
6 !(  ! - 
<
*


 !
 ! !
 -  
  
 !
 ! $&&-  @!   
 !
 !
<
,i !
@  % &)/5! 67
!+@  
 !+ +,, !  
 !
(, *&'
 '4(
-  ,,
 !"h2!0*

!%
+++!
6 - 0
(6!0
!%
+++!
6


,0!
  
  !
- !   ! !  *(  !
  !
 !,!  * ( 
 
!%
+ *  !(% *
!  ! 000ch 0

 %
 '
 
 ?
 
     !
 !  &
#( *&'
 '4
+ 
 '4
6 7(
- ,,
 !" h2!0*
,++!
6&*
 ,++!
6+6(  ,,  @!
 
,0
!+@ *

!%
+++!
6   ) @!  !/:0  !  
!%
++,! 
! '"@ ,! ,  %  (,,
!%
+++!
6  5 %
 "7- !0 )  %   !
!,(6 6 !0 
  !( %* , 4 !,
0
  $>$ )5)    7++!
6@,4& 
  !
0
 ,5)    4!7++!
6- 
+ !0 %
!
')!,$% 
5')7( ',"0!
  +!,              =.  6g' 6g' 6g 6g 6g 6g 6g 6g 6g 6g 6 ) a e ?2f 6 ) a e7))?2f   > 
 3 -
?23 6 
  =. $3==( 6g 6g
 
 ?
 
     !
 !   &
)( 
 '4
+ & 
 (
- 
% 
0  ! 
/: !

% 
@'%
 
!
%  
@, !
!,
% 
5 %
 7-  
  !  
% 
 ,
 6!
 ,
 6 ( ,,
0 ,- !! !
"( 
% 
 ,,
 % % $% 
! 
5 %
 7/ "( +!,@ 
% 
0   ,  ,, !!
. %
% 
%
! @ !  6 % ! !!  !-  $% 
! 
,,
 
 %!  !!0   !
. %
% 
%
!   &*
  )+!
6 '   
 
 ?
 
     !
 ! ", &
5( & 
 $% 
2.&& !6 ,
!% !
. %
% 
, ,
  ,,
 %+!,   .! 
52 #7 >

! $% 
  .! 
52 7 $% 
! 

!%
+!
!% /
 ).$% 
/
 $<$% 
/
 
!
6$% 
!
)!, !
')!, !
)!, -
 
- +
:!
 -
 
- +
:!
 - +
)!, 
/: !
' !
 !
 $
, /, 0 
 4!  ! 4 = $ 4 > /)$ /$3 /$ ) ') ) $& - $& - -)$ / '   $
,  " '    " " "# " " "" "' " " " ' " '     !
 
 ?
 
     !
 ! " &
( & 
* 
&  &
9( & 
* b  d7 d6 d5 d4 d3 d2 d1 d0 r253 rp 0 reserved (must be 0) r4 r5 r6 r7 working register pointer  u  u  u  u  u  u  u  u  7khxsshuqleeohriwkhuhjlvwhuilohdgguhvv surylghge\wkhuhjlvwhusrlqwhuvshflilhv wkhdfwlyhzrunlqjuhjlvwhujurxs 5 6shflilhg:runlqj 5hjlvwhu*urxs 7khorzhuqleeoh riwkhuhjlvwhu ilohdgguhvv surylghge\wkh lqvwuxfwlrqsrlqwv wrwkhvshflilhg uhjlvwhu ,23ruwv h 5 5 5
 
 ?
 
     !
 ! "" c  -*+
& 
6c* 7(
>
 
!
% 

,0 ,0
 ,   !
, - 
% 
.  +!
 0
6$&&-@!%  $&&-! 
  c && !%  0 ,! 
 %
%>
 
!
% 
 
!%
,!.  
+!
 0
!+ 0c && ,
! (! +  ++ c && ! 
 %
% %
* (
-  (  .! 
5=,47,0!
 *
  .@ 
 ,6 
  ,++!
6 (  .! 
547 ,0!
   
 . 
 ,   '%
 
!
% 
 .! 
= %  5=7 ,%
 
!
% 
!6  % 
 .  <0' (
- 

!( 
!%
++( !
: +
5-,-7@  ,
(6 !( 
!%
++(
 
- -
 
 ,
(6 
!
 *
 ! .!
i !
@ -
 
 ,
(6  
 ! .!6 5 %
 #7 - ( 
 
 ,  ,   0
< 6!0  ! .!
(66 %
 +(
0
!+!"& 
 
,
  !
@ ,
+ 5! 7  !,, !  !
8 (!   !
,
 

  ,!0   !@ +
 
 
<@/$3"5-7!
/$35-7@ %
, -  !


!%
++,!- $-@-@

!1-/1a&@!


0
!+     -  !
 !(
!%
++,!- !
 %5/1 >4& +!,7!
!!+ 6
!,    , !  ! % 5)a4g11-/1aa+!,7 -  !
@(! 
 
@

,6 +  !, 
( % 
!
 !+!,$, % 
 


  ffh -  ! .!
0!
-  
,0 (,   
  
+
! !
!
 ! .,  ,(60!
@!
*
  %  
!% !
'- +* ++0
< 6!0 *
 +
 %   f- 4 ! . %,  ,,(6- - +
)!,$% 
 !0 %
 *
 +
  5'7*
 ! .@
%%
    

%%
(!
!

%%
(@!
 %  0!
  
 ! .!
' '!
! 5- 287 7 
!%  -@-@!
  
 ! . ! -  !
: +

  ,,(6 !  % -! !   !0-
 
 ?
 
     !
 ! "# &
(  <0' 
$%
&' - 
  - /    $& /   c $% 
c $% 
c $% 
2  ! !
2  ! !
8
 8
 $, /
2   j j" j ! . 4!% 2  ! !
2  ! !
- 
 c $% 
- /   c $% 
$& /   c $% 
/
2 j" /
! . &*
! . 8
 8
 $, - ,1 ' /
! . >,! . -
%%
,! . /$3" /$3 
 /:! . -a- '
 
 ?
 
     !
 ! ") . +(
-   *, 00
 
 0
!+ % , 00
!
-  

 
+.(,
!
 b,- !

,  ,,0!!;"!

  +,(6!
' ''g'@! 
@! 
/@,
  +,(6 !
: +
- /
 ).$% 
%!(6!
 ,  ,6(!
, (   * 
 
<8 +!
 ! 
   , %@
!
 

!, (6
!%
++(
!
6 !,
   !
!,(6 /
 
!
6
% 
 
 
 !
,
!% !  ! 
!%
+)+!
68  
 
< %
,@  
 +  6    ,- 
  
 
< 0%,, (!0 (< 
 @*  
!%
+!
, %@,(
 ! 
!%
+++!
6 !
!  !

,0!
  
  - ++!
6!  !, *(6 !  ( ,,
!0  
 
 
! 0!
  
 
 
 
< -! !++!, !, 
 6+@ 
   
+.,, /
  $<
% 
  !,!,
+  !0  
 
<,
 !0 
   , 
 
 !
,(6 % 
!
(   /
  $<$% 
5/$37 1, 
 
 !
,(6( % 
    
 
 
!  /
 
 
<
+ ,! 0 %,%!0  6 !0
6 
  !@,  
 
<+( ,- (0!
 0 %,%!0  ! . 6 !0  
6*  % 
  ! 8  , +  , 
 
<@ *"- 5*
f- 4 ! . 6 7
,!
!
 b  
 @,   !(6, 4 >
%  
!  .- 0!! %  6 
,!0   
  !
0
!+ *
++!
6- 0
(6!0  
 
 
!  0 ,(%  %!   -  6 0!! %  
+  ! @  !
 !,! '
,-  6 0!! % *
 
 +  ! 
 
 ?
 
     !
 ! "5 &
( . +
$%
&' %(
- ! ! !
  % % @ 

!+  0 
0!
 !  !! 
6@4@ 
+ 
!!
@!
6 (*
 ! .!
5f- 4k/1a-@ f- 4ka-a-7- 
6 !,( - @)=b!)=b+* ++@   

  5$7!0 !
<!   !  %0
!+)=b! )=b - 
6 !,( ! ,
!f- 4,f- 4 % ! !
+0  

?
 !++,,   !
5 l4l' 70
!+  !%
!,5 %
 7
/$3 /)$ /$ 
!
6 4!%  >!( /
  &( /$3/$3 /
  $< c !
 
 
 ?
 
     !
 ! " &
",( 
/& ? 0(
= 4-
!00  
a ! .@(! f- 4!  !!
  

 ! .-  !
: +
,*
 
 /$3@/$3@/$3@,/$3'
+   - , 

 !
,(6 
   
*
6!
 
6% 
, 0*(
-  
  !
!00  
 ! .,*

6!  !,
,  ,(6 
!+
!+ 
!
- -+!, 
+ ,(6 
@   
! !
!

    !
!%
+!  ! 000ch  /!
,
!
-5!
= 4-7+!,@   
6!0
0   
  !   !! , , %*  ! + , 
  !- 
0!
@ 
+*  15 !,k ffh 7 ++, 6(0!
 
!
  
  !!
*+ ; !
 1 i 
     - i
-+!,  1 i 
    # = 4- i
= 4-+!, xtal1 xtal2 c1 c2 c1 c2 ceramic resonator or crystal lc external clock xtal2 xtal1 xtal2 xtal1
 
 ?
 
   !
!$% 
 "9 
&  &
"( 
.<
& 
6,!
2<= 7 - +m!
6!0  !
!
% 


,:
- 
!0   !
!

!6- 
!6
% 

!
,( +  %!
,
!6
% 
 
 
, %! ,, 6+ !!% !
(!! 6 !0 
  !! 
% 
+6 !
   !  
% 
 !,&+!
! 
 !! 
!6
% 
!
0   0!,! ,  d7 d6 d5 d4 d3 d2 d1 d0 serial data (d0 = lsb) r240 sio !
 
 ?
 
   !
!$% 
 " 0' 
2
&  - - +
)!,$% 
@-)$@ !
! + %, !
0  !, !   %
  &
""( 0' 
2
& 
6!
2<= 7  <0' 

&  - !
:- +
$% 
@-  !  %
 ' &
"#(  
0' 

& 
6"!
2<= 7 d7 d6 d5 d4 d3 d2 d1 d0 0 no function r241 tmr 1 load t0 0 disable t0 count 1 enable t0 count 0 no function 1 load t1 0 disable t1 count 1 enable t1 count t in modes 00 external clock input 01 gate input 10 trigger input (non-retriggerable) 11 trigger input (retriggerable) tout modes 00 not used 01 t0 out 10 t1 out 11 internal clock out d7 d6 d5 d4 d3 d2 d1 d0 r242 t1 t1 initial value (when written) (range 1-256 decimal t1 current value 01-00 hex) (when read)
 
 ?
 
   !
!$% 
 " *  

&  - 
 
$% 
@$&@ !
! ! . %0  !,  !  %
 " &
")( *  

& 
6#!
=
47  <0' 
,
&  - !
:- +
$% 
@-  !  %
  &
"5(  <0' 
,
& 
6)!
2<= 7 *  
,
&  - 
 
$% 
$& !
! ! . %0  !,  !  %
  d7 d6 d5 d4 d3 d2 d1 d0 count mode r243 pre1 0 t1 single pass 1 t1 modulo-n clock source 0 t1 external timing input prescaler modulo (range: 1-64 decimal 01-00 hex) 1 t1 internal t in mode d7 d6 d5 d4 d3 d2 d1 d0 r244 t0 t0 initial value (when written) (range 1-256 decimal t0 current value 01-00 hex) (when read)
 
 ?
 
   !
!$% 
 #, &
"( *  
,
& 
65!
=
47 *
"
2
&  - !
)!,$% 
@)@ !
!!
/:0  !,  !  %
 # &
"9( *
"
2
& 
6!
=
47 *
#
2
&  - !
')!,$% 
') !
!!
'/:0  !,  !  %
  d7 d6 d5 d4 d3 d2 d1 d0 count mode r245 pre0 0 t0 single pass 1 t0 modulo-n reserved (must be 0) prescaler modulo (range 1-64 decimal 01-00 hex) d7 d6 d5 d4 d3 d2 d1 d0 r246 p2m p20-p27 i/o definition 0 defines bit as output 1 defines bit as input
 
 ?
 
   !
!$% 
 # &
"( *
#
2
& 
69!
=
47 *
,
2

2
&  - !
,)!,$% 
@)@ !
! !
, + %0  !0!
!
, ,  !  %
  d7 d6 d5 d4 d3 d2 d1 d0 0 port 2 open drain r247 p3m 1 port 2 push-pull reserved (must be 0) 0 p35 = output 1 p32 = dav0 /rdy0 0 p32 = input p35 = rdy0/dav0 00 p33 = input p34 = output 01 p33 = input 10 p34 = dm 11 reserved n 0 p30 = input p37 = output 1 parity on 0 parity off 1 p30 = serial in p37 = serial out 0 p31 = input (t in ) p36 = output (t out ) 1 p31 = dav2 /rdy2 p36 = rdy2/dav2
 
 ?
 
   !
!$% 
 #" &
"( *
,
2

2
& 
6!
=
47 . +
*4
& (
- /
 
!
6$% 
@/$@
!
 b 
 0   !,  !  %
 ' d7 d6 d5 d4 d3 d2 d1 d0 p00 - p00 mode r248 p01m 0 external 1 internal stack selection 00 reserved 10 ad7 - ad0 11 high-impedance ad7 - ad0 as , ds ,r /w , a11 - a8  00 output 01 input 1x a11 - a8 p17 - p10 mode a15 - a12, if selected external memory timing 0 normal 1 extended p07 - p04 mode 00 output 01 input 1x a15 - a12 01 reserved
 
 ?
 
   !
!$% 
 ## &
#,( . +
*4
& 
6!
=
47 . +
d 
&  - /
 $<$% 
@/$3@ !
! 
 0  !,  !   %
 ' &
#( . +
d 
& 
6!
2<= 7 . +
%
&  - /
 ).$% 
@/)$@ !
! 
 0  !,  !  %
 ' d7 d6 d5 d4 d3 d2 d1 d0 interrupt group priority r249 ipr  reserved = 000 c>a>b = 001 a>b>c = 010 a>c>b = 011 b>c>a = 100 c>b>a = 101 b>a>c = 110 reserved = 111 irq1, irq4 priority (group c) 0 irq1>irq4 1 irq4>irq1 irq0, irq2 priority (group b) 0 irq2>irq0 1 irq0>irq2 irq3, irq5 priority (group a) 0 irq5>irq3 1 irq3>irq5 reserved (must be 0) d7 d6 d5 d4 d3 d2 d1 d0 r250 irq irq0 = p32 input (d0 = irq0) irq1 = p33 input irq2 = p31 input irq3 = p30 input, serial input irq4 = t0, serial input irq5 = t1 reserved (must be 0)
 
 ?
 
   !
!$% 
 #) &
#"( . +
%
& 
6$!
2<= 7 &
&  - a0%  %$% 
@4 >@!! 
! 
0!
+(, !, 00
%!% - 4 >$% 
  !  %
 '' &
##( &
& 
6!
2<= 7 & 
* 
&  - $% 
! 
$% 
@$@ !
! ! 
0  !  !
. %
% 
,  !  %
 '" d7 d6 d5 d4 d3 d2 d1 d0 r251 imr 1 enables irq5 - irq0 (d0 = irq0) reserved (must be 0) 1 enables interrupts d7 d6 d5 d4 d3 d2 d1 d0 r252 flags user flag f1 user flag f2 half carry flag decimal adjust flag overflow flag sign flag zero flag carry flag
 
 ?
 
   !
!$% 
 #5 &
#)( & 
* 
& 
6!
2<= 7 %
* 
?&
&  -  .! 
= % $% 
@=@ !
! ! 
0  !   
(6   *
 . ,,  !  %
 ' &
#5( %
* 
& 
6>!
2<= 7
%
* 

&  -  .! 
4!$% 
@4@ !
! ! 
 0  !  !
(6,   !  %
 ' &
#( %
* 
& 
6!
2<= 7 d7 d6 d5 d4 d3 d2 d1 d0 r253 rp 0 reserved (must be 0) r4 r5 r6 r7 working register pointer d7 d6 d5 d4 d3 d2 d1 d0 r254 sph stack pointer upper byte (sp15 - sp8) d7 d6 d5 d4 d3 d2 d1 d0 r255 spl stack pointer lower byte (sp7 - sp0)
 
 ?
 
   & 
 
 
  # > 
  1
@''
& 
%

   (!)* ++$ % , -( +6  
+ ,+%! , - 
 % 

 %!6  !! 
 !!0  , 6 !,  !(! ! , ,  ! 
 !  !!0   0   ! ! +  ,&* !
!(!+* ++
 % !,  !0!
*,, 
!,+600 , 
 (  6 22
0 
2 (**


0))23  

  ,$ 943   ,

9 3
*3 )
 e-
4 cf, 01
( 1
@''
& 4'1 *'    @ a c &&  6c!%  g' o# c - 672 !
%-+ 

 g o  - $  
 % +( -+ 

   1!;  c!%!   
  !>1  
,
%/0!
+ !
 
 ?
 
   & 
 
 
  #9    !   + - $ kp@c && k>1kc@0k)=b@+
, 

,!>1 
> 
  *'    @ /                  /:        01
9( 
> 
 

22
2
>@ 
0 '+   4' *'   0 $ e
,f

g9,f 0 $ e
-),f

g,5f 04+  h"5f a 2  @  @ )*/  c!% ##c/ ,+ lq c &+ ! ./  = % c!% ' c && ' c && c 
(6 &*
! . >
!
1!;    ,
!c@ c && ,! 0! %  c && kc from output under test 150 pf
 
 ?
 
   & 
 
 
  # c &/ ! ./  4!c!% '  '  c 
(6 &*
! . >
!
c ,+ / = %  c!%  c &&  c && c c ,/ / 4! c!% g'  '  c c 2+  = %  c!% " " c / 2+ k+ c 2+  = %  c!% c && g+c c && g+c c / 2+ kq c 2/  4! c!% " " c / 2+ ko+ c 5+ $/  = % c!% ' c && ' c && c c 5/ $/  4!c!% g'  '  c / ,/ / 4.% g    q -c@c && / 2/   4.% g    q -c@c && / ,5 $/  
 g  q c 5/ kc / &&  6
 ' ' " + r)=b5  7 / && ,(6 
 # # " + = 4-)!, c ,1 kc@c && r)=b / && ,(6 
    q -)!, c ,1 kc@c &&  5  7 / $// ! 4! 
   " " q 01
9( 
> 
 

22
2
>@ 
0 '+  
6 27 4' *'   0 $ e
,f

g9,f 0 $ e
-),f

g,5f 04+  h"5f a 2  @  @ 1!;    ,
!c@ c && ,! 0! %  c && kc
 
 ?
 
   & 
 
 
  # 
> 
   %
 ' 
  + % 
 
 !0 )a  
  !*
  :! !
-( 0!
,
 !!0 +(
, + % 
+
   0 %
 &
#( >@ 
.<

 '4
>
2
= .0>
0'& 8 "<>  $ < ef  < 
 $ci$  
 ?
 
   & 
 
 
  ), 01
( >@ 
.<

 '4
><= .0>
0'&b 22<>@ 2 2
0 '+   4'1 *'   0 $ e
,i

9,i
h

?j 0 $ e
),i

,5i
h

?j a    @  @ - ' 5 7 ,,
c ,!  $ 6    @' - ' 5 7  $ ! ,,
!6 ' '  @' '- ' 5$7  $ !$,$!!     - : $  5$,74!8 , ' '  @@' #- : 8  58$/-&74!8 ,    @@' - ' $5$7  !$,$  6    @'  - ' 5 7  $ !  6 ' '  @'  - ' $:85 7 $:8 c ,!  $ 6    @' ' - ' 5$:87  $ !$:8 1!c , ' '  @' " - ' 8587 8$/-&c ,!  58$/-&76    @'  - ' 587  $ !8$/-&1!c , 6 ' '  @'  - ' 5$7 ,,
c ,!$,$6 + %,,-   - + %+(

! ,,
0!
+  ++- 3  ' ! .6  , 
 
 (
 
 ?
 
   & 
 
 
  ) 01
( %
 + 2 
' '1  4'1 >d - ' 5 7 "- o' - ' 5 7 - ' '- ' 5$7 '- o" "- :  -  - : $ ''-  #- : 8 #- o# - ' $5$7 #- "  - ' 5 7 -   - ' 5 7 - '"  - ' $8 5 7 "-  ' - ' 5$8 7 -  " - ' 8587 "-   - ' 587 -   - ' 5$7 "-  # - ' 57 - #  - 6 /57 -   - ' )5 7 - '
 
 ?
 
   & 
 
 
  )" 22
0'&  %
 ' 
  + % 
 
 !0 )a  
  !6+ ! .0  !-( 0!
,
 !!0 +(
, + % 
+
   0 %
 &
#( 22
0'& 01
",( 22
0'&
6 22
2
>@ 2 2
0 '+  7  4' *'  
0 $ e
,i

g9,i 0 $ e-)
,i

g,5i a   
?j 
?j  @  @ - 3  / ! .
!,       - 5 @- )  ! ./ $ s- +     '- :  / ! .8 ,     "- : - ,1 4 - +
/ 4!8 , # #   - : - ,1 = - +
/ = % 8 , '- 3 '- 3  1!;  ! . + %
0
 'c0!
!% !,c0!
!%   - + %
0
 c0!
!% ,c0!
!%  ' /
 
0
 
< !
' " -  
 
< !
'5'g''7  -  
 
< !
'5'7 clock 1 3 4 8 2 2 3 t irq 6 5 7 7 9 in n
 
 ?
 
   & 
 
 
  )# - 3 - ,1 - +
/ 
!, - 3 - 3  #- 5 - ,1 @- ) - ,1 - +
/ $ s- +
     - : /4 /
 $<4!- + # #  @" 2 - : /4 /
 $<4!- + '- 3 '- 3 @ - : /= /
 $</ = % - + '- 3 '- 3 @' &
),( .+
?2%
0'& 01
",( 22
0'&
6 22
2
>@ 2 2
0 '+  7
6 27  4' *'  
0 $ e
,i

g9,i 0 $ e-)
,i

g,5i a   
?j 
?j  @  @ 1!;  ! . + %
0
 'c0!
!% !,c0!
!%   - + %
0
 c0!
!% ,c0!
!%  ' /
 
0
 
< !
' " -  
 
< !
'5'g''7  -  
 
< !
'5'7 data in 1 3 4 5 6 dav (input) rdy (output) next data in valid delayed rdy delayed dav data in valid 2
 
 ?
 
   & 
 
 
  )) &
)( +
?2%
0'&  + %
0
 c0!
!% ,c0!
!%  01
"( ?2%
0'&
6 22
2
>@ 2 2
0 '+  7  4'1 *'   0 $ e
,i

g9,i
0 $ e
-),i

g,5i   
 @  @ - 6 /5 c7 / - +    /  - + /5$d7 /=!,- + " " /  '- :  c   (8 ,   /  "- '  c/5$d7  c!$d6    /  - '  c/,5$d7  c! c6    /  $d ' 5 c7 $d$ ! c6   /  #- ' 5 c7 ! c6 -  -    - '  c5$d7  c!$d6     - ' $d5 c7 $d! c$ 6      - : $d $d8 ,      - ' $d ' 5 c7 $d$ ! c6     data out dav (output) rdy (input) next data out valid delayed rdy delayed dav data out valid 7 8 9 10 11  !
 
 ?
 
    .% % )5 *%&&  %
 " 
 " /  .%0!
 +
! !
!
,  &
)"( ),-*
.*
*%&
&'
 
 ?
 
    .% % ) &
)#(
))-*
* 
*%&
&'
 
 ?
 
    .% % )9 &
))( ))-*
*8*
*%&
&'
 
 ?
 
   
,
%/0!
+ ! ) 2 &
./' *
'1 
 +  4> 
+(
 ! !0+(
!0 !+ !!
*+ @ 
+(
  )=b" / ! 
  ge!o#e+ 


%@   ,
,!-  
+(
 !
 !,!  !,%+ , ,  0!! %( !
0
@ ! 6!
!  4>!00 0!
   !
,
%  

<
,  4>@/  '$ 
 t!@ '"' - !5"7  f"' /
;  4> !+ 01
""( 2 &
./' *
 *%& 2 
'1  " /  " / & "" 4 c "" 4 c& "" 3 & "" 3    4>
0 *  
!,  -
!,   
!, 1+(
  ,5)=b7   .%  -+ 

 &
!+!
 
 ?
 
   ! +/0!
+ ! ) ' 
./' ' 
'1 
 + - ! +!
!1+(
  
  0!!
!0  %!0 ,! + !  < , 06 %
(@ , ,  0!! %(;  
!,   0  !  a <! +1+(
 $  !1+(
 )! ,d
(  ,
 
 ?
 
   !+
,( .!
+ 5, ' 
 21%
' 
*2
+ / /06!* 
 6
!(+ ! 
 % 
!, @!
 06!!6  
  
, % 
!,   0  !@  ! 6, !+  0!
+@ + !
0* !  4>5 
 
 @(!78! !+6!
%% !u ' 
./' *2
./' 
./'  4> 6+-:!+
 !
 '$ 
 t!@ '"' *;5"7' &+ ; b
 rb !% !+ *1 '
 +

&&  
! , !+ ,
 !!0 
!(+!
6!
%% !/06!

 !
 %  0 
!(+@  , , % ! ! 
 !0 
!(+  ,,  ! %  
6 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv 1+ !
6 !+ 6  ! ,,
 *  6:: &)  
w!
2!
,(w:$w !0
c
 ! ! +1+(
=!!+ 

 !:-6 


▲Up To Search▲   

 
Price & Availability of Z86C91

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X